Physical Design Engineer
Intelliswift An LTTS Company - omaha, NE
Apply NowJob Description
ASIC Physical Design EngineerFull TimeSunnyvale, California or Austin, Texas - OnsiteNote: No hybrid or remote08+ years industry experience, BS EEJob Description & Skill RequirementThe role requires individuals with experience in backend implementation from Netlist to GDSII in low power and high-performance designs to build efficient System on Chip (SoC) and IP for data center applications.Block level floorplanning and physical design activities for one or more blocks.Block level physical design includes floorplan, power plan, placement, CTS, timing analysis, and route optimization.Signoff timing and physical verification closure.As part of the block level implementation, you will need to ensure the floorplan is optimal, congestion issues are resolved, and timing is under control at every stage from synthesis, placement, CTS, and route stages.Signoff tasks include Timing closure with crosstalk and OCV under Multi-ModeMulti-corner conditions, Noise signoff, Physical verification including LVS, DRC, Antenna, and IR closure.Flow developmentautomationQualificationMinimum QualificationsHands-on tape-out experience performing timing and physical verification closure on 5nm FinFET TSMC process or similarlower technology nodesHands-on experience with block level physical design (Floorplanning to GDSII)Experience with SoC level integration (multiple blocks, SoC floorplan, clocking, and timing analysis) preferredExpertise in Cadence (Innovus) P&R, Synopsys PrimeTimeStarRCICV, Ansys Redhawk, and Mentor Graphics Caliber EDA toolsProficiency in scripting languages, such as Makefiles, Tcl, Unix Shell, PythonHands-on experience in writing scripts to improve or develop flow from scratchSolid engineering understanding of the underlying concepts of IC design, implementation flows, and methodologies for deep submicron designPreferred QualificationsExperience in full chip floor planning, partitioning, budgeting, and power grid planning.Experience with low power implementation, power gating, multiple voltage rails, UPFCPF knowledge.Experience in planning, implementing, and analyzing high-speed clock distribution networks. Experience with alternate strategies for clock distribution, including standard trees, mesh, H-Tree, and clock power reduction techniques.Knowledge of static timing analysis and concepts, defining timing constraints and exceptions, cornersvoltage definitions.Knowledge of Circuit design, device physics, and deep sub-micron technology.Experience in the physical design of data-path intensive designs.Experience in the 3D-IC technology, methodology, and advanced packaging.Experience in validating Power Distribution Network (PDN), IREM, Thermals for 3D-IC.
Created: 2025-02-21